微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 求助:在UVM中,如何将sequence中的函数export出去?

求助:在UVM中,如何将sequence中的函数export出去?

时间:10-02 整理:3721RD 点击:
各位:
终于搞定了UVM的register model。不过我们公司同事又提新要求了,要求能使用C调用基本的read/write命令对apb总线进行操作,那么我就必须把这些taske xport出去,给C使用。那么问题是,使用了UVM之后,除了top,就全是class了,在class中好像没办法export函数吧,如果在top module中export的话,这些对apb总线啊,寄存器之类进行操作的task都在不同的sequence中,top 中该如何才能访问到下面的sequence呢,我这里看到的top下面的层次只有各个interface和DUT,并没有test中的环境呀。有没有人用过UVM与C的联合仿真?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top