微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > makefile 不认include 的verilog

makefile 不认include 的verilog

时间:10-02 整理:3721RD 点击:
请问一下,在用makefile做仿真时,因为有很多的verilog code需要都include进来,可怎么都不认,总是出现以下问题:
Error-[SFC0R] Source file cannot be opened
Source file "`include" connot be opened for reading due to"No such file or directory".
这是什么原因造成的,我是新手,请教大家。
我把我的file.v写一部份出来:
`include "/data/project/aa/a.v"
`include "/data/project/bb/b.v"
就是类似这样,不知道为何总是出错,之前用nc跑就没有问题。

Thanks a lot !

是反斜杠的问题吗

最有可能是路径不对!可以采用绝对路径!

既然想用makefile,可以直接采用filelist文件来指定文件路径的

在/data之前加一個.
這樣的意思是告訴verilog simulator去你目前目錄下的data目錄去找你的verilog code
`include "./data/project/aa/a.v"
`include "./data/project/bb/b.v"

I think 'make' is not responsible for the file path. It is related to your simulator actually. First of all, you have to know where you are when the makefile executes the simulator. Some suggest that you may define your "project root directory" in your makefile like:
PROJ_ROOT=/home/user_name/proejcts/proj_1
and then you should based on the variable ${PROJ_ROOT}. I think it may easier when you encounter the troubles like this.

makefile和include的没有什么关系吧
要么文件在filelist里面指定位置,要么在跑的时候用命令行指定一个路径吧

学习一下

kankan

7楼正解!
这个不关make什么事情,若还不明白,一行行执行以下就知道哪儿错了!

遇到同样的问题。
不过,我是直接在.v里边加上 `include"define.v",这样子的话,就会报错,因为我的执行脚本不是在这一行。
然后,我换成`include"../rtl/mbu/define.f"就搞定了。
总结,找不到file的错误,常常都是路径的问题,注意书写是否正确(斜杠反斜杠),绝对路径,相对路径。

学学。

makefile可以定义变量啊
比如
PATH = /A/B/C
$PATH

编译时加上+incdir指定库目录,就不用路径了。
或者直接绝对路径。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top