微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 菜鸟来问:仿真的时候如何跟C的结果做对比

菜鸟来问:仿真的时候如何跟C的结果做对比

时间:10-02 整理:3721RD 点击:
现在要做一个verilog 代码的仿真,需要从一个C程序中读入一个信号,然后把这个信号的处理结果与C中的处理结果相对比。该怎么做?需要用哪些函数、语句之类的?
恐怕没说清楚,稍微示意一下:
|----用C处理-------|
用C读入一段数据||-----比较结果
|----Verilog 处理---|
有没有谁做过这种?不需要差不多的,只要有仿真中使用了C的就可以来说说啊。

两种方法,用systemverilog的DPI接口。
要不就直接用文件处理的方式。都打印到文件,然后再比较

谢谢yingcui88373 。两者的输出我打算都打印到文件,两个文件作比对。可是verilog的仿真需要从C程序中读一个变量,作为输入数据。这个就不知道该怎么办了。

3# oscillator_cn1
PLI

3# oscillator_cn1
可以用C把这个变量的值打印到txt文件中,然后用verilog读这个文件的值就可以了。

use systemc

谢谢楼上各位,PLI的话,好像现在不怎么用了,System Verilog和systemc都包含了PLI接口,可以直接调用C的东西了。问题是:该怎么用System Verilog和systemC来调用C里面的东西呢?不要光说一句呀。有谁能稍微详细的说明一下下?另,目前采用的是5楼的办法。

最简单的就是直接使用DPI。通过参数传递和数据类型转换的方式,直接将C中的变量传递给SV。非常简单。

DPI is

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top