微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > systemc中sc_uint<n>

systemc中sc_uint<n>

时间:10-02 整理:3721RD 点击:
最近学习systemc,写一些输出端口类型为sc_out<sc_uint<4>> out; 和定义中间变量 sc_uint<4> interout;
但是编译时提示我outis not declared in this scope and 第5行(定义中间变量这行sc_uint<4> interout )的template argument 1 is invalid
难道是systemc不支持sc_uint<4>datatype?
忘有人告诉!

sc_out<sc_uint<4>> out; //>>间要有个空格

多谢楼上
该问题已解决!

赞,如果在VC下面好像sc_out<sc_uint<4> >还是支持的.
但是在linux下要空格.

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top