微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 用modelsim仿真如何设定时钟频率

用modelsim仿真如何设定时钟频率

时间:10-02 整理:3721RD 点击:
我用modelsim做仿真
在菜单里选了simulation就自己开始了
时钟频率是他自己生成的
我如何修改
另外
如何自定义输入波形
谢谢

用modelsim仿真如何设定时钟频率
不会的,是由自己的testbench决定的

用modelsim仿真如何设定时钟频率
能讲详细一些吗?
是不是自己用testbench来定义时钟
说实话
我不知道什么是testbench
在那里定义testbench呢?
谢谢
我用的是modelsim自带的例子
所以才觉得是自动的

用modelsim仿真如何设定时钟频率
自带的例子里面应该有
testbench就是用编写的行为级的用于测试自己设计的代码。
如果一个时钟clk 为33M,那么在testbench中可以这样产生:
always
begin
clk = 1;
#15;
clk = 0;
#15
end

用modelsim仿真如何设定时钟频率
[这个贴子最后由chinazj在 2003/08/30 11:19pm 第 1 次编辑]
这是一个文件吗?文件名就是testbench 吗?
这些代码是hdl语言吗?
还是modelsim的语言?
是一个单独的文件
还是嵌在hdl语言当中呢?

用modelsim仿真如何设定时钟频率
我明白了
谢谢

vihijklmjklmk

可以用do file写啊,for iclk 0 0ns,1 {20ns} -r 40ns

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top