微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > 硬件电路设计讨论 > 关于virtex的PCIE时钟管脚约束问题

关于virtex的PCIE时钟管脚约束问题

时间:12-12 整理:3721RD 点击:
在ML605开发板(FPGA为LX240T)生成的约束ucf文件里,我们可以看到对系统时钟有如下约束
NET "sys_clk_p" LOC = P6;
NET "sys_clk_n" LOC = P5;
INST "refclk_ibuf" LOC = IBUFDS_GTXE1_X0Y6;
而我现在在设计的是基于LX550T的FPGA芯片做成的PCIE板卡,显然需要对这段约束进行修改
想请问一下,IBUFDS_GTXE1_X*Y*这段的说明在哪个文档可以查到呢?感觉没有文档介绍到X和Y的编号与管脚应该如何对应的。
万分感谢!

ug382 Spartan-6 FPGA Clocking Resources User Guide

thx!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top