微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > 硬件电路设计讨论 > 新手问一下,fpga的IO做DATA线,怎么设置?

新手问一下,fpga的IO做DATA线,怎么设置?

时间:12-12 整理:3721RD 点击:
比如我cpu的DATA[0:15]连到fpga的16个IO口,
再另外16个IO口连到一个器件上,
我需要CPU和器件经过fpga读写数据,fpga只是把两个总线连起来
除了把fpga的IO口设置成inout模式外,怎么赋值过去呢?
assign D0 = ARM_D0;
assign D1 = ARM_D1;
assign D2 = ARM_D2;
这样不行吧?该怎么赋值呢?
用的xilinx的XC95288,应该是个cpld
.17
.17

用Z控一下

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top