微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > ISE 14.4模块仿真遇到的问题= =

ISE 14.4模块仿真遇到的问题= =

时间:03-15 整理:3721RD 点击:

学校做一个课程设计难度的东西...做得好辛苦,刚才试了其中一个模块,就是一个或门...然后就一堆错误,奇葩的是检查语法的时候明明一样的东西有时候有错有时候没错。死的心都有了...
还有测试文件是它自己生成的,照样有一堆错误= =我把输入信号加进去之后错误还变多了






这是错误


然后我只改删了后面的那块把a和b信号的要求填了进去。然后语法没错的时候仿真出来a b c三个信号全是U,百度了下U是没赋初值,但是...这里它明明帮我赋好了啊...
关掉重新开,错误就来了,好奇挂..
大家能指点一下到底哪错了吗?

哭了,求大神指点啊啊啊啊 啊啊





忘记把编号截进去了= =可这两句都不是我写的QAQ

<>里的要改成你自己的,没有clock的话这一行删除。


谢谢啦!删除就可以了= =

上一篇:deckbuild 无法执行
下一篇:最后一页

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top