微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 求教, 仿真就出错了

求教, 仿真就出错了

时间:10-02 整理:3721RD 点击:
library ieee;
use ieee.std_logic_1164.all;
entity first is
        port(x:in std_logic_vector(1 downto 0);
                        y:out std_logic_vector(1 downto 0));
        end first;
architecture behave of first is
        begin
                y(1)<=x(1);
                y(0)<=x(0);
        
        end behave;




刚下载的9.0,前边都没错,也没警告,到了 仿真就出错了。

没破解完全,在破解一遍

64位的如何破解,  按教程破解了好多次,也不行


破解到这个样子,是不是 没破解完全, 难受         

没有破解完全,我记得这个quartus9.0有破解步骤呀,我不用这个软件好久了,但是电脑上还装着,好久之前破解的,破解完这样。


你搜一下csdn上有个9.0的破解器,你要是不着急等我回家找找我以前的破解器发给你

http://pan.baidu.com/s/1slwJxUH在我的网盘下载一个破解器,放到bin文件下,生成一个license文件,然后打开把hostID改成自己网卡的,然后再添加,添加完设置一下环境变量。实在不行找个破解成功的同学呀帮忙吧

不同的版本是会有这种情况

还是不行啊,刚上次的一样

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top