微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > FPGA AD 转换

FPGA AD 转换

时间:10-02 整理:3721RD 点击:
最近小弟在用VHDL 做AD转换,新手不懂,那位大大给个原程序,指导一下

你这些都没有目的性的问题. 请理清目的在问问题。

http://bbs.elecfans.com/jishu_431388_1_1.html
最近我也在做,遇到问题,可以一起分享经验

我在用ADC0809做,但是在分频的时候出现了问题,我做的是20分频的

上一篇:Verilog语言
下一篇:FPGA的分频器设计

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top