微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 菜鸟狠菜,求大大帮忙,急。关于quartus下的调试代码

菜鸟狠菜,求大大帮忙,急。关于quartus下的调试代码

时间:10-02 整理:3721RD 点击:
就是调试不来,话说我都不知到这个是应该新建verilog HDL还是VHDL 0.0(注释是我自己加上去的,因为采用verilogHDL错误少点所以用的'//')....哎代码调试不出,波形仿真就更困难了,话说这是建立一个文件呢还是拆分成多个文件呀,,求高手帮忙,我是菜菜。
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off vendor -c vendor
Error (10170): Verilog HDL syntax error at vendor.v(1) near text ";";  expecting ".", or an identifier, or "*", or "/"
Info: Found 0 design units, including 0 entities, in source file vendor.v
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings
Error: Peak virtual memory: 170 megabytes
Error: Processing ended: Sun Apr 27 12:32:18 2014
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings
代码如下,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity vendor is
port(
reset   :in  std_logic; //系统内部给其他顾客重新操作的复位信号
clk             :in  std_logic; //由外接信号发生器提供的1024Hz系统时钟信号
ok_buy          :in  std_logic; //购买确认的按键信号
cancel_buy      :in  std_logic; //购买取消的按键信号
coin_5          :in  std_logic; //投入五角硬币的动作按键
coin_10         :in  std_logic; //投入壹圆硬币的动作按键
select_cola     :in  std_logic; //选择可口可乐的按键信号
select_pepsi    :in  std_logic; //选择百事可乐的按键信号
led_cola_ok     :out std_logic; //灯亮显示还有可口可乐
led_pepsi_ok    :out std_logic; //灯亮显示还有百事可乐
led_cola_sel    :out std_logic; //灯亮显示可口可乐选择键被按
led_pepsi_sel   :out std_logic; //灯亮显示百事可乐选择键被按
led_buy         :out std_logic; //灯亮显示按了购买确认键
led_cancel      :out std_logic; //灯亮显示按了购买取消键
led_five        :out std_logic_vector(2 downto 0);//3个LED,投入1个五角硬币亮一个LED
led_ten         :out std_logic_vector(1 downto 0);//2个LED,投入1个壹圆硬币亮一个LED
led_five_return :out std_logic_vector(2 downto 0);//3个LED,以每秒4次的闪烁代表退出的硬币
led_ten_return  :out std_logic_vector(2 downto 0);//2个LED,以每秒4次的闪烁代表退出的硬币
led_cola_out    :out std_logic;//灯亮显示可口可乐已出货
led_pepsi_out   :out std_logic //灯亮显示百事可乐已出货
);
end;
architecture arch of vendor is
signal ok               :std_logic;//用来维持ok_buy的状态
signal cancel           :std_logic;//用来维持cancel_buy的状态
signal money_ok         :std_logic;//投入金额正确
signal return_clk        :std_logic;//退币的闪烁信号4Hz
signal cola_choice      :std_logic;//用来维持select_cola的状态
signal pepsi_choice     :std_logic;//用来维持select_pepsi的状态
signal total_amount_five:integer range 0 to 15;//五角硬币的累计投入金额
signal total_amount_ten :integer range 0 to 20;//壹圆硬币的累计投入金额
signal cola_out         :std_logic;//可口可乐已经出货的信号
signal pepsi_out        :std_logic;//百事可乐已经出货的信号
begin   
return_clock:block //5.1.1产生退币闪烁信号的电路模块
signal count:std_logic_vector(7 downto 0);
begin
process(reset,clk)
begin
if reset='1' then count '0');
led_ten_return '0');
elseif rising_edge(clk) then //时钟上升沿
total_amount =15 then money_ok for i in 0 to 2 loop  //钱数不够1.5元,退钱
led_five_return(i) null; //投入等于1.5元
when 20=>led_five_return(2) led_ten_return(0) led_ten_return(1) led_ten_return(0)<=return_clk;
led_ten_return(1)<=return_clk;
end case;
end if;
end if;
end process;
end block;
give_check:block  //5.1.7出货并计算存货电路模块
signal no_cola:integer range 0 to 20;
signal no_pepsi:integer range 0 to 20;
begin
cola_out<='1' when (money_ok='1' and ok='1' and cola_choice='1')
else '0';
led_cola_out<=cola_out; //可乐出货灯亮      
pepsi_out<='1' when (money_ok='1' and ok='1' and pepsi_choice='1')
else '0';
led_pepsi_out<=pepsi_out; //百事出货灯亮
cola:process(reset,cola_out)
begin
if reset='1' then no_cola<=20; //复位
led_cola_ok<='1';
elseif rising_edge(cola_out) then  //可乐卖出
no_cola<=no_cola-1;  //卖出一个计一次数
if no_cola=0 then led_cola_ok<='0'; //可乐全部售出
else led_cola_ok<='1'; //还有存货
end if;
end if;
end process;
pepsi:process(reset,pepsi_out)
begin
if reset='1' then no_pepsi<=20;  //复位
led_pepsi_ok<='1';
elseif rising_edge(pepsi_out) then //百事卖出
no_pepsi<=no_pepsi-1;  //卖出一个计一次数
if no_pepsi=0 then led_pepsi_ok<='0';//百事全部售出
else led_pepsi_ok<='1'; //还有存货
end if;
end if;
end process;
end block;
end arch;


这个是VHDL的代码,你花上一天的时间看看VHDL的语法

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top