微波EDA网,见证研发工程师的成长!
首页 > 硬件设计 > 嵌入式设计 > 循环冗余校验码的单片机及CPLD实现

循环冗余校验码的单片机及CPLD实现

时间:03-20 来源:互联网 点击:

余校检方法。由上述例程可以看出,循环冗余码校验是一种可靠性高、易于实现的校验方法。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top