微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > 硬件电路设计讨论 > 求解大神,如何用基本逻辑门和触发器实现6进制加法计数器

求解大神,如何用基本逻辑门和触发器实现6进制加法计数器

时间:10-02 整理:3721RD 点击:
求解大神,如何用基本逻辑门和触发器实现6进制加法计数器
等...
还有12进制加法计数器

用D触发器做个计数器,好久没搞数字电路了,你自己用的verilog设计一个看一下生成的原理图

共同学习

6进制同步置零计数器 Verilog代码
module counter(clk,reset,count);
input clk,reset;
output count;
reg [2:0] count;
always @(posedge clk)
begin
   if(reset)
      count<=3'b000;
  else
     if (count<=3'b101)
         count<=3'b000;
    else
         count<=count+1;
end
endmodule

具体硬件搭建,详见数电。

置零改为复位,更合适。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top