微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > PCB设计问答 > PCB设计学习讨论 > 为何我用Quartus II 13.1画出的与非门是一个与门+一个非门

为何我用Quartus II 13.1画出的与非门是一个与门+一个非门

时间:10-02 整理:3721RD 点击:
本人初学EDA 照谭会生的书原封不动打了个程序,仿真一个与非门,结果成了一个与门和一个非门,请问哪里设置不对啊?!
代码
library ieee;
use ieee.std_logic_1164.all;
entity my is port(A,B:in std_logic;Y:out std_logic);
end entity my;
architecture art1 of my is begin
Y<=A nand B;
end architecture art1;

效果截图

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top