微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > TI模拟硬件电路设计 > ADC数字输出检测到直流偏量怎么解决

ADC数字输出检测到直流偏量怎么解决

时间:10-02 整理:3721RD 点击:

使用的ADC型号为:ADS42LB69,双通道ADC,采样率为64M,模拟差分输入为7.6M,在不同的通道下输出的数字信号直流偏量差别比较大,一个通道的数字输出数值约为10,另一个通道的数字输出数值约为200,如果换算为dB的话两者之差为20dBc。请问为什么两个通道差别会这么大?(两通道硬件匹配电路相同) 

模拟输入采用AC交流耦合输入

我使用ADS5401采样的时候也发生了类似情况。前端采用交流耦合,变压器耦合与差分放大器+电容的方法都试过。我们用信号源输入信号的时候有时会采集到直流,我后端FPGA一包只能采几万个点的数据,大部分包都是正确的,只有个别情况会被整体叠加一个直流。

采样率400M,不进行内部抽取。ADS5401在配置时完全按照手册上给的顺序,先使能再复位最后打开打开自动校准环路。采样时钟输入,数据时钟输出、12位并行数据输出的LVDS接口全都是用交流耦合的方式连接(当时主要考虑到我FPGA的LVDS接口共模电压是1.1V,而AD5401的基本是1.25V)。不知到这么做是否合适?因为我看开发板是直流耦合的。

直流大约有多大?是持续一段时间吗?

直流比较小,大概几十mV吧,测试的数据暂时不在手边,持续一个很短的时间,因为我采样率很高,有400M,一包16000点算的话,也就是us级的,主要是奇怪他为什么时有时无?

PCB设计的时候没有分地,但是模拟电源直接就进放置,数字电源离得比较远。

我这边的情况是直流偏量一直存在,且大小不变,在数字上最大数值是200,即最低八位在无输入的情况下会有数据输出。模拟输入匹配就是按照芯片手册设计的,AC交流耦合,检测到两片ADC芯片的VCM引脚输出为1.99V和2.02V。我这边焊接了两块电路板,其中一块电路板的四个通道中有两个通道直流偏置很小,数值大约为10,还有两个通道数值较大,大约200左右。另外一块电路板的四个通道偏置都比较大。请问是硬件问题还是软件配置不对?由于ADC模拟输入引脚已经采用了AC交流耦合输入,共模电压由芯片内部提供,不知该如何进行问题定位。

有没有交叉一下输入,看看偏置是不是随着通道走?

ben ning

我使用ADS5401采样的时候也发生了类似情况。前端采用交流耦合,变压器耦合与差分放大器+电容的方法都试过。我们用信号源输入信号的时候有时会采集到直流,我后端FPGA一包只能采几万个点的数据,大部分包都是正确的,只有个别情况会被整体叠加一个直流。

采样率400M,不进行内部抽取。ADS5401在配置时完全按照手册上给的顺序,先使能再复位最后打开打开自动校准环路。采样时钟输入,数据时钟输出、12位并行数据输出的LVDS接口全都是用交流耦合的方式连接(当时主要考虑到我FPGA的LVDS接口共模电压是1.1V,而AD5401的基本是1.25V)。不知到这么做是否合适?因为我看开发板是直流耦合的。

您好,我现在在使用ADS5407这款芯片,调试FPGA程序时发现无论外部是否输入电压,AD都有输出,一般AD芯片不应该有一个管脚使能芯片么?同时因为我的AD输入时钟是通过SY89430供的,测试该时钟芯片的test管脚输出正确,但是其时钟输出管脚无法测量输出时钟,通过将其进入AD芯片之后在FPGA中监测AD的时钟输出也是乱码的,请问这是怎么回事儿呢?

我那个5401后来将LVDS从交流耦合改到直流耦合就好了。感觉之前交流耦合的方式不大对。我们现在还有一块板子跟您这个说的一样,不论交流耦合还是直流耦合,都是乱码,但是后来发现它和正常的板子相比,输入时钟幅度要小好多,我们现在也还在调试。

这种高速ADC是没有输出始能的。一直输出数据

这个时钟芯片不是TI,不熟悉。建议你检查一下电源,输入时钟等管脚。如果有配置的话,看看配置是否正确

一般来说的直流偏置在某次上电之后就因该一直存在的,大小和电路及器件的离散性有关。部分adc有correction功能可以校正 

你好请使用TI的LMK系列高性能时钟,你所用的时钟抖动性能太大

Seasat Liu

这种高速ADC是没有输出始能的。一直输出数据

如果它一直输出数据的话,那么我应该怎么去处理AD输出的数据呢?是需要延迟多少个周期以后开始采集数据吗?因为有看到datasheet中有延迟38个周期那一说

不用额外关心这个延迟。它的意思就是模拟信号进去和数字信号出来之间有38个时钟周期的延迟。这个是内部的结构照成的。你就一直采样就可以了。只需要找到N时刻得到的数据是在N-38个周期之前进入ADC的就可以了。

Seasat Liu

不用额外关心这个延迟。它的意思就是模拟信号进去和数字信号出来之间有38个时钟周期的延迟。这个是内部的结构照成的。你就一直采样就可以了。只需要找到N时刻得到的数据是在N-38个??期之前进入ADC的就可以了。

明白了,谢谢!

请问一下,ADS5407这款芯片AD输出的时钟频率是否稳定?

你是指DACLK和DBCLK吗?这个主要是给FPGA作为接ADC发出的数据的时钟用的。是稳定的

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top