微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > TI模拟硬件电路设计 > ads1204 输出数据特征

ads1204 输出数据特征

时间:10-02 整理:3721RD 点击:

在采用外部晶振20MHZ的情况下,对ads1204输入0V的模拟电压,其输出位流的特征是什么样子的?  在fpga内部制作了sinc3滤波器对其位流进行滤波,得到的数据是固定值还是在一直变化,有何特征?

您好,

不太确定你是否看了我在你这个贴里面的回复:http://www.deyisupport.com/question_answer/analog/data_converters/f/58/t/65958.aspx;

重申一下,ADS1204需要需要接入的是外部 5-22MHz,40-60%占空比 的时钟信号,而非晶振!

另外,ADS1203工作在模式0,1,2的时候,使用的是内部集成的10MHz的时钟,你可以申请ADS1203的免费样片,配置其工作在模式模式0,1 或 2,然后后示波器同时看MCLK和MDAT,相信你会对调制器的输出会有更为直观的了解。

在接入时钟信号的时候,数据数据在每个时钟周期更新一次;

当输入电压为0V的模拟电压时,如果从较长的时间来看,输出的0的个数跟输出的1的个数基本一样的!但是在较短的时间内,有可能出现 0101 或者 100110的情况,这都是正常的。

请问你计划将ADS1204应用于什么方面呢?

另外,为了让问题讨论地更为系统和深入,敬请尽量将尚无答案的相关问题集中在一个贴里面!谢谢支持!

Br

Martin

ADS1204需要需要接入的是外部 5-22MHz40-60%占空比 的时钟信号,而非晶振!

另外,ADS1203工作在模式0,1,2的时候,使用的是内部集成的10MHz的时钟,你可以申请ADS1203的免费样片,配置其工作在模式模式0,1 或 2,然后后示波器同时看MCLK和MDAT,相信你会对调制器的输出会有更为直观的了解。

在接入时钟信号的时候,数据数据在每个时钟周期更新一次;

当输入电压为0V的模拟电压时,如果从较长的时间来看,输出的0的个数跟输出的1的个数基本一样的!但是在较短的时间内,有可能出现 0101 或者 100110的情况,这都是正常的。

输出位流应该是伪随机的。输出得到的数据肯定是一直变化的,跟你的输入是有关的。

可以用示波器单步触发来观察。

hit ironman

在采用外部晶振20MHZ的情况下,对ads1204输入0V的模拟电压,其输出位流的特征是什么样子的?  在fpga内部制作了sinc3滤波器对其位流进行滤波,得到的数据是固定值还是在一直变化,有何特征?

输入的模拟量为0,那么输出的量不应该就是0吗?

请问你做好了吗?为什么我在fpga内部制作了sinc3滤波器对其位流进行滤波,得到的数据是一直变化的?

请问你用过吗?为什么我在fpga内部制作了sinc3滤波器对其位流进行滤波,得到的数据是一直变化的?

您好,我也是用的ADS1204 用FPGA做的sin3滤波器,但是采样一个固定电压得到的却是变化的值,您能指点一下吗?

请问等你的OSR取多少? 固定电压输入得到的变化值大概变化多大? ADS1204前级的RC多大?

OSR为32, 转换后的数据都在变化,几乎每一位的值都不固定,时钟用的内部时钟,请问我可以看看你设计的FPGA程序吗?多谢

写错了 OSR为256

您好,可以指导一下我有关ADS1204的调试吗

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top