微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > TI模拟硬件电路设计 > ads1204 输出数据波形

ads1204 输出数据波形

时间:10-02 整理:3721RD 点击:

ads1204  在0V时输出的数据波形是占空比一定的还是可变的?下图是用示波器观测到的现象,单步出发时得情况,还有其余波形。

输出是0和1的值,与占空比无关。另外,貌似PCB布局不是很好,振铃比较严重。

0 和 1 的值是否为固定频率的?如果是频率变化的,有何特点?

您好,

ads1204  在0V输入的时候,如果从较长的时间来看,输出的0的个数跟输出的1的个数是一样的!

但是在较短的时间内,有可能出现 0101 或者 100110的情况,这都是正常的。

占比的计算前提是有固定的频率,但是ADS1204的输出并没有固定的频率,只能说在每个时钟周期会有输出数据的更新。

如楼上所述,截图中的振铃比较大,可以优化一下硬件连接!

顺便问一句,你准备怎么样做后级的滤波器呢?

 

Br

Martin

 

1.  我采用20MHz的外部晶振,输入0V时出现了图示的out A端口 的波形叠加现象,而且out A 的输出频率在1~4MZH之间变化。

2. 我采用的是sinc3滤波,就是TI提供的vhdl 代码在fpga内实现。

3.振铃现象是由于晶振离芯片较远的缘故。

4.经过sinc3滤波之后,数据变化较大。例如:我采用decimation =32,0V时,十进制显示值的变化范围达到几百。

您好,

ADS1204并没有片内震荡电路,所以其CLKIN 引脚需要接入的是时钟信号,而非晶振!该时钟信号为5-22MHz,40-60%占空比,具体要求请参考数据手册第5页参数表的EXTERNAL CLOCK 章节。

如果在滤波后需要得到较稳定的输出,可以考虑提高OSR;设置OSR为128或256都是挺常见的做法。

Br

Martin

ads1204  在0V输入的时候,从较长的时间来看,输出的0的个数跟输出的1的个数是一样的!但在较短的时间内,有可能出现 0101 或者 100110的情况,这都是正常的。

占比的计算前提是有固定的频率,但是ADS1204的输出并没有固定的频率,只能说在每个时钟周期会有输出数据的更新。

1:数据的内容不能用示波器来观察的,因为里面的0和1数据不是周期函数。所以只能用单步触发,图1的波形混乱也是正常的。

2:高低点评有明显的欠冲和振铃,可能是收到干扰了,或者测量方式不对。如果是IC的Pin,那么可能是Vcc供电不好或者耦合电容太小。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top