微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 求助:uvm中的寄存器模型后门访问

求助:uvm中的寄存器模型后门访问

时间:10-02 整理:3721RD 点击:
急盼各位大侠指点迷津:
uvm中的寄存器模型中的后门访问机制是怎么样的呀,手册上写的太模糊了,只是说绕过物理总线,mimic模拟。
1 后门访问不通过总线配置,那么还是不是真实访问dut中的寄存器呢?如果是的话,怎么做到直接访问dut中的寄存器的(不要简单的说通过逻辑路径哈)呢,感觉想要直接改变dut的寄存器值,就涉及到信号层了。
2 或者后门访问只是寄存器模型自己的一个copy备份值,来模拟dut寄存器的行为?
3 后门模式read/write和peek/poke的实现原理有和区别?
谢谢!

好久没看了,就我的了解,后门还真就是给它一个逻辑路径,它直接找到深层次的信号的说,SV本来就支持层级调用信号的嘛。其他的因为没有深入研究过,所以也不好回答。推荐你到国外的UVM论坛区问问,呵呵,国外搞得如火如荼的。

我理解是使用backdoor需要提供hierarchy, 有一个函数add_hdl_path。通过hierarchy reference方式访问rtl register。
read/write既有front door模式,也有back door模式。peek/poke是backdoor模式的函数。有一个区别是后者调用时会自动更新mirrored/desired value,前者需要predictor。

backdoor 跟force signal差不多, 不耗费仿真时间
在chip-level验证的时候backdoor这种方式可能不行,根据你具体芯片的实际情况来吧

多谢各位热情的解答,现在我弄明白了,的确如大家所言,总结一下,后门访问的机制是,首先用户指定逻辑路径,然后uvm最终会调用dpi的c语言相关函数,通过pli来直接访问寄存器!

用过vmm的后门;
就是整一个后门路径的文件,把寄存器在dut中的层次定义出来
比如:'define HDL_reg_xx $root.DUT.xx_inst_reg.xx
最后一级就是例化的寄存器里面的reg信号
编译的时候把文件include进去编译就ok了

学习了...很好...

你是怎么弄明白的?

学习了,正好解惑

学习了,谢谢

正解,谢谢

前门:通过模拟CPU在总线上发出操作,消耗仿真时间;
后门:通过层次化的引用来改变寄存器的值,不消耗时间;

正在学,一头雾水,谢谢

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top