微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 关于UVM1.2的相关问题

关于UVM1.2的相关问题

时间:10-02 整理:3721RD 点击:
使用UVM1.2这个库,用在之前搭建的一个验证平台里面,只能打印仿真时刻为0的相关信息,之前使用UVM1.1d能完整打印所有信息,不知为何,有木有大神帮忙解决一下。

该问题已经解决,是UVM1.2中starting_phase与UVM1.1d中有一些不同。

能具体说明一下吗,还在用1.1d

相比UVM1.1d,UVM1.2有一些更新,这个可以直接上百度搜索,有较多的相关说明。我的那个问题主要涉及到UVM1.2中对starting_phase的更改,UVM1.2中将starting_phase拆分为了set_starting_phase和get_starting_phase,但仍保留了starting_phase。如果仍然想继续沿用UVM1.1d的starting_phase.raise_objection(this), 需加上starting_phase=get_starting_phase();,否则starting_phase将为null,无法提起异议,UVM在运行完仿真0时刻的所有操作之后将结束整个验证平台,因此无法打印出0时刻之后的相关信息。

多谢,看来1.2把之前包在里面的函数都给单调剥离了出来!

可以定义一个宏使得这块使用可以跟1.1d一致。

找到解决方案,赞一个

求大神帮助,我现在使用的是1.1d版本,使用vcs进行仿真,仿真也是在0时刻停止了,新手上路,多多指教

检查一下你的starting_phase是否为null

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top