微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > OVM+sv种子问题

OVM+sv种子问题

时间:10-02 整理:3721RD 点击:
我们公司用的cadence的OVM验证方法学和verdi,怎么用用seed让随机重现?怎么设置种子随机?弄了几天没弄明白,请指教!

我也是新人,说的不对见谅,我在用vcs和verdi联合仿真。vcs的运行参数+ntb_random_seed_automatic在运行随机约束时好像是会产生种子随机的随机数,这个随机种子在运行之前会打印出来,但是在使用函数$random时随机数种子是不变的,应该是默认的种子。至于说随机数再现,可以设置随机种子,好像是+ntb_random_seed $1,具体的你再查查吧。等楼下大神正解。

你还没有说你使用的是什么仿真工具呢。mentor的modelsim有一个参数选项-sv_seed就是设置种子。

仿真工具不是verdi吗?我看readme里有个sim -s是种子 但是加了之后还是在irun.log里发现说种子random in command line不知道什么意思啊,种子应该在命令行随机还是在代码里面,新人什么都不懂啊

irun的话,好像是ncsim的指令,verdi应该只是辅助观察波形的工具。一般应该都是在指令的参数选项里。

就是说sim -s 13455 这样?但是仿真好像没啥变化 irun里面 sv seed 那行显示还是-random
好像就是说还是随机的种子,寄存器的写每次也不一样

kankankan

irun的我不清楚,我用的questasim是类似的参数选项。估计你要详细查看工具的说明文档了。

来学习下

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top