微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请教个vip使用时import package的问题

请教个vip使用时import package的问题

时间:10-02 整理:3721RD 点击:
在使用synopsys的ahb vip时,在tb顶层需要import uvm_pkg::*
import svt_uvm_pkg::*
import svt_ahb_uvm_pkg::*
以上3个package在其对应的.sv文件中,都有import前面的package,即如果不import uvm_pkg::*,程序仍然可以运行,因为在svt_ahb_uvm_pkg中会import

而如果没有import svt_uvm_pkg,即只import svt_ahb_uvm_pkg,按道理应该是没问题的,因为svt_ahb_uvm_pkg中会import svt_uvm_pkg。但是我在仿真的时候,某个sequence中用到了svt_configuration这个class,加载时说没有定义。

不知道是不是需要都import?
还是我的仿真环境有问题?
通过log文件,看出错前加载的文件都是一致的。还望知道的帮忙解答下,谢谢!

编译sequence的时候是否已经编译了包含import的文件了?

首先感谢回复
log里加载sequence的.sv之前,已经出现加载svt_configuration.svp的信息。

通常是因为其他地方有个小错误,平台没报出来,而报了这个东西

感谢回复!不过,加了就可以,不加就不行,难道这pkg里面有什么开关或是配置选项?亦或是加载的文件有什么问题?
如果我的代码有问题,那加与不加应该都会报错,还是想不通。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top