微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 求解答:$dumpfile (xxx.vcd) 无法产生VCD文件

求解答:$dumpfile (xxx.vcd) 无法产生VCD文件

时间:10-02 整理:3721RD 点击:
我在tb上加入了
initial
begin
$dumpflie ("test.vcd");
$dumpvars;
end
但是vcs综合完了之后没有产生任何vcd文件,为什么?请大家指教。不胜感激

$dumpvars;需要指定变量

不指定的话就是默认全部信号的。这个问题已经解决了 是因为我没有执行simv文件 执行了就出现VCD了 ,谢谢哈。

执行simv文件是什么意思?加上之后有test.vcd文件显示,但没有数据。

vcs-debug_all-o my_simv
simv

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top