微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > AMS + ncelab + port name invalid

AMS + ncelab + port name invalid

时间:10-02 整理:3721RD 点击:
在AMS 仿真时由于 symbol 的port 比 verilog functional 的 port 要多(由于某种原因),仿真时出现错误如下:
ncelab: *E,CUVPOM (/xxx/xxx/schematic/verilog.vams,644|67): Port name 'scan_in' is invalid or has multiple connections.
在不改变 verilog file 和 symbol 的基础上有没有办法解决这个问题?

同问 你解决了吗我遇到同样的问题了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top