微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 請問有沒有推薦的Systemverilog書/教程/視頻

請問有沒有推薦的Systemverilog書/教程/視頻

时间:10-02 整理:3721RD 点击:
各位大牛好我是台灣這邊的工程師
本身目前是個剛要學習systemverilog的新手
之前沒有基礎
但是好像市面上有關systemverilog的資料或書非常少
而且很少是國人自己用自己的想法編寫的
幾乎都是翻譯的書
所以想要請教一下
如果要學習systemverilog
有沒有甚麼推薦的書/教程/視頻可以參考的
最好是國人自己撰寫的 (例如 UVM實戰 這種書)
而且最好是有大量的源碼跟範例
可以透過實際的例子來練習的 (我是用Questasim, 在UNIX系統下)
感謝!

systemverilog 绿皮书。入门的。SV中文大多翻译的,可以用即可,不行就看英文标准。

請問綠皮書是哪一本?

想要請教一下各位大牛
關於systemverilog
有沒有甚麼教材或是網站有大量的項目跟範例可以參考?
因為以前在學verilog的時候
都是從一些實際的項目中著手
像是螢幕輸出驅動LED之類的
有了實際例子感覺比較快可以上手
但是sv好像只有一些書上的幾個簡單的小範例
跟實際工作中的東西天差地遠
也沒有一個教材是教導怎麼樣從無到有去搭建一個驗證平台來驗證某個DUT或是IP
所以現在才會覺得很難入門
光是一直看書沒有實際例子練習
看到後面就忘了前面
實在很悶......
所以想請教各位大牛
不知道大家的學習歷程是怎麼樣的?
哪邊有項目或是範例多的教材呢?
最好是可以實際去驗證一個IP的
然後實際去看波型
或是實際講解跑完之後要怎麼樣去看結果
如果有這樣的東西
感覺會比較容易讓人快速成長
感謝感謝!

绿皮书:SystemVerilog验证 测试平台编写指南

建议还是看英文版的书比较好。以下是几本比较好的SystemVerilog的书:
1. SystemVerilog for Design 2nd edition by Stuart Sutherland
2. SystemVerilog for Verification by Chris Spear
3. A Practical Guide for SystemVerilog Assertions by Srikanth Vijayaraghavan

建议还是看英文原版书比较好。以下是几本不错的SystemVerilog的书:
1. SystemVerilog for Design by Stuart Sutherland
2. SystemVerilog for Verification by Chris Spear
3. A Practical Guide for SystemVerilog Assertions by Srikanth Vijayaraghavan

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top