微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 大神指导一下-ntb_opts这个选项

大神指导一下-ntb_opts这个选项

时间:10-02 整理:3721RD 点击:
小弟最近学习验证,到了UVM这块儿,运行VCS2014.03版本的example/testbench/sv/uvm/integreted/ubus这个demo,Makefile如下:

  1. DIR := ../svall: clean comp run
  2. comp:if [ -d $(DIR) ]; then \-ntb_opts uvm-1.1 (vcs -full64 -sverilog -timescale=1ns/1ns +acc +vpi+incdir+$(DIR) ubus_tb_top.sv -l comp.log); \else \echo "copy the sv directory from ../sv"; \firun:./simv+UVM_NO_RELNOTES-l simv.log +UVM_TESTNAME=test_2m_4s

复制代码



其中 -ntb_opts uvm-1.1 这个选项的意思是不是 就把uvm-1.1的库加进来了?
疑惑的是:我并没有在系统环境变量里面设置$UVM_HOME,安装文件夹下面的/etc/目录自带下有uvm-1.1
不明白这是怎么回事儿,求大神指导一下呢

这个命令会自动调用,vcs自带的uvm库文件,uvm-1.1 如你所说,就是调用uvm1.1的库

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top