微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请问在ncverilog工具里面dump用systemverilog写的类(class)的波形呢?

请问在ncverilog工具里面dump用systemverilog写的类(class)的波形呢?

时间:10-02 整理:3721RD 点击:
rt.就是用$recordfile();跟$dumpvar(); 这类的系统task。
我试来试去,好像只能看到外面数据的波形,看不到类里面,包括类里面task变量的波形?
谢谢啊!

在tcl里显式地probe

那不是会很麻烦,如果很多的话,又分散

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top