微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 怎么在一个txt里实现对verbosity的set?

怎么在一个txt里实现对verbosity的set?

时间:10-02 整理:3721RD 点击:
大家好,我是刚接触UVM的新人,接到了一个任务,要求实现对component的verbosity的快捷设置。
也就是说需要set verbosity的时候都写进一个txt里,如a.b.c.set_report_verbosity_level(UVM_HIGH),然后在uvm_tb_top.sv里读取这个txt,实现a.b.c.set_report_virbosity_level(UVM_HIGH). 求问从txt里读出来的东西要怎么当作途径和命令来用呢,感谢帮助~

按照这个格式a.b.c.set_report_virbosity_level(UVM_HIGH)写好,再include进去 不行吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top