微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 用modelsim6.5D及questa sim 10.0跑systemverilog的相关问题

用modelsim6.5D及questa sim 10.0跑systemverilog的相关问题

时间:10-02 整理:3721RD 点击:
在用modelsim6.5Dquesta sim编译*.sv文件时如果文件中只有一个class就报“Nested class declarations not yet supported”。*.sv文件之间都已经用`include包含了还是有这问题。
这些文件用VCS跑就没问题。主要还是想用modelsim跑,有机器就可以跑没服务器也行

zenmo meirna.

xie xie fen xiang !

学习一下................

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top