微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 请问type::type_id::get()和type::get_type()有什么区别?

请问type::type_id::get()和type::get_type()有什么区别?

时间:10-02 整理:3721RD 点击:
如题,请问uvm中设置default_sequence时,type::type_id::get()和type::get_type()有什么区别?
谢谢!

dingyige

wo ye xiang zhidao .

一个是instance 一个是class?

  1. 在util宏里有如下定义
  2. typedef uvm_object_registery #(T, S) type_id;
  3. static function type_id get_type();
  4. return type_id::get();
  5. endfunction

复制代码


所以这两个是一样的

明白了,谢谢指导。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top