微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > questa sim第一次跑hello_world 出现error 望高手指教

questa sim第一次跑hello_world 出现error 望高手指教

时间:10-02 整理:3721RD 点击:

这里我用的是questasim10.1b 里面有UVM的内建库
代码是UVM官网下的
编译hello_world中的packet.sv



错误提醒
vlog -work work -vopt -sv D:/cygwin/tmp/uvm-1.1a/examples/simple/hello_world/packet.sv
QuestaSim vlog 10.1b Compiler 2012.04 Apr 26 2012
** Error: D:/cygwin/tmp/uvm-1.1a/examples/simple/hello_world/packet.sv(22): near "uvm_transaction": syntax error, unexpected IDENTIFIER
** Error: D:/cygwin/tmp/uvm-1.1a/examples/simple/hello_world/packet.sv(22): Error in class extension specification.

questa sim 对UVM支持也太差了吧 各种异常

呵呵。等待大侠指点。呵呵。等待大侠指点。

用了3天时间终于 优点思路了
这里这个文件是不用编译的 只要在主文件里用`include 包含这个文件就行
学习切忌浮躁

我也有这个问题

这个和system verilog 的include和import语法有关
这个uvm reference代码 只需要将主module compile就行

有点不明白25行:rand后面为什么没有addr;

小编好人

.....你extend的uvm_transaction class 没有声明,也就是说你的
没有预先编译uvm库文件

它应该是跟后面一句话。

quest sim还是用linux的版本吧,windows版本设置比较麻烦

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top