微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > uvm验证平台死循环有什么办法调试?

uvm验证平台死循环有什么办法调试?

时间:10-02 整理:3721RD 点击:
快被烦死了

先看哪里死循环?主要还是objectection 不对应。

可以用FV来走一遍。

这个主要是自己代码风格的问题,要是你在每个while里面加一个打印的话,在开始debug的时候,将这个设置改一下,就能知道哪里是死循环了

VCS应该有个loopdetect的选项

见识了 loopdetect 厉害。

既然是死循环了,那时间就有断点了,如果用vcs的话可以用dve的断点分析功能查看下断点时间时平台都一直在运行哪些内容!没人可以保证自己代码不出问题,关键是怎么尽快的找出问题并解决!

用Debug all和step调试下就行

有看门狗吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top