微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > |=>与|->的区别

|=>与|->的区别

时间:10-02 整理:3721RD 点击:
有哪位同学给普及一下这两个赋值符号的区别,及其应用场景呢 ?
拜谢啦

先说明是哪个语言里的符号啊,不同语言里相同符号含义不一定一样

systemverilog ,谢谢了

自己发一个答案,在SVA中:
1、overlapped implication(|->):如果现行算子匹配,在同一个时钟周期计算后续算子的表达式。即,两个表达式需要在同一个时钟周期内同时成立。
2、unoverlapped implication( |=> ) :如果现行算子匹配,在下一个时钟周期计算后续算子的表达式,即会有一个时钟周期的延迟!即两个条件如果都成立的话,可以有一个时钟周期的差异。
谁还有不同意见 ?

ls正解

正解!

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top