微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > UVM架构,信号流动方面的一个问题。

UVM架构,信号流动方面的一个问题。

时间:10-02 整理:3721RD 点击:
有没有人有办法,可以令sequence可以利用interface中的信号?driver和monitor之所以可以利用interface里面的信号,是因为他们有一个uvm_config_dbset和get的机制,但是要是想让sequence也可以利用interface中的信号,怎么办?

有人有办法

谁啊。?求办法

帅哥,求办法!

你也用UVM吗?

在吗,哥们儿!

virtual interface主要是连接testbench和DUT的,sequence只是testbench里面的一个东东,一般不需要用virtual interface去传递什么吧,直接定义在sequence_item里面不是更好?

嗯,你讲的道理很有道理!应该是这个样子吧。

办法很多,我抛砖引玉一下:
sequence对应的sequencer里的东西对sequence本身来说都是可以使用的,只需要使用
p_sequencer.xxxxxx即可;
而sequencer是一个component,可以通过config db的机制进行任何东西的配置,当然也包括virtual interface;
这样只需要通过sequencer做个中介搭个桥即可!
还记得UVM方法学里sequence引用sequencer的starting_phase么?是一个道理

楼上正解

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top