微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 问一个 时钟块里面的问题

问一个 时钟块里面的问题

时间:10-02 整理:3721RD 点击:
clocking cb@(posedge clock);
default input #1 output #1;
outputmem_data;
outputmem_add;
output mem_en;
output mem_rd_wr;
endclocking

请问这里的default input #1 output #1; 是什么意思 ?
刚开始看SV,查了manual ,没有找到 ,应该是一个非常的简单的问题,谢谢!

默认的setup time和hold time。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top