微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 微电子和IC设计 > IC验证交流 > 用TEXTIO读取图片数据的问题

用TEXTIO读取图片数据的问题

时间:10-02 整理:3721RD 点击:

我在用textio读取256*256图片灰度值数据(整数类型的txt文本),为何第一个数总不对,是-2147483648,其余的都是正确的。
library ieee;
USE STD.TEXTIO.ALL;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
USE IEEE.STD_LOGIC_TEXTIO.ALL;
entity textio256 is
port(
clk : in std_logic;
data_out: out integer
);
end textio256;
architecture TB of textio256 is
type memory is array( 0 to 65536 ) of integer;
--type memory is array( 0 to 65535 ) of integer;
begin
ReadData: process
file file1 : text open read_mode is "lena_65536.txt";
variable rom: memory;
variable startup:BOOLEAN:=TRUE;
variable line1 : line;
--variable i : integer range 0 to 65536 := 0;--不用再此进行初始化
variable i : integer;
begin
--if ((clk = '1') and (clk'event)) then--延后一个时钟读取数据
if startup then
--wait until ((clk = '1') and (clk'event));
for i in rom'range
loop
--wait until ((clk = '1') and (clk'event));--放到这里,第一个数也是错的
readline(file1,line1);
read(line1,rom(i));
data_out <= rom(i);--第36行
end loop;
startup:=false;
--data_out <= rom(i);--放到这里不对,没有输出
wait until ((clk = '1') and (clk'event));--放到这里,第一个数也是错的
end if;
end process;
end TB;

没人知道吗?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top