微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > verilog 双向口定义问题请教

verilog 双向口定义问题请教

时间:10-02 整理:3721RD 点击:
本人刚接触,请问大侠双向口如何定义, 如inout a,输出输出的时候各如何用?

在Verilog的行为级模式中,输入是线,输出端口是寄存器,双向端口可以说明成“映象寄存器”。作为输出时,其操作跟普通端口一样,当用作输入时,要先将映象寄存器设为高阻态。

……
inout [] data;
……
wire [] data = datareg;
……
datareg='hzzzzz;
……

感谢楼上啊

一般情况下,只要不用做输出,就要置为高阻抗

datareg应该要先定义的吧
当输出的时候是data<=0;还是datareg<=0;?
输入是a=data;还是a=datareg?

已经说的很明白了

芯片外部引脚很多都使用inout类型的,为的是节省管腿。一般信号线用做总线等双向数据传输的时候就要用到INOUT类型了。就是一个端口同时做输入和输出。 inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻'Z'。 当inout端口不输出时,将三态门置高阻。这样信号就不会因为两端同时输出而出错了,更详细的内容可以搜索一下三态门tri-state的资料.
1使用inout类型数据,可以用如下写法:
inout data_inout;
input data_in;
reg data_reg;//data_inout的映象寄存器
reg link_data;
assign data_inout=link_data?data_reg:1’bz;//link_data控制三态门
//对于data_reg,可以通过组合逻辑或者时序逻辑根据data_in对其赋值.通过控制link_data的高低电平,从而设置data_inout是输出数据还是处于高阻态,如果处于高阻态,则此时当作输入端口使用.link_data可以通过相关电路来控制.
2 编写测试模块时,对于inout类型的端口,需要定义成wire类型变量,而其它输入端口都定义成reg类型,这两者是有区别的.
当上面例子中的data_inout用作输入时,需要赋值给data_inout,其余情况可以断开.此时可以用assign语句实现:assign data_inout=link?data_in_t:1’bz;其中的link ,data_in_t是reg类型变量,在测试模块中赋值.
另外,可以设置一个输出端口观察data_inout用作输出的情况:
Wire data_out;
Assign data_out_t=(!link)?data_inout:1’bz;
else,in RTL
inoutuse in top module(PAD)
dont use inout(tri) in sub module
也就是说,在内部模块最好不要出现inout,如果确实需要,那么用两个port实现,到顶层的时候再用三态实现。理由是:在非顶层模块用双向口的话,该双向口必然有它的上层跟它相连。既然是双向口,则上层至少有一个输入口和一个输出口联到该双向口上,则发生两个内部输出单元连接到一起的情况出现,这样在综合时往往会出错。

学习了,xiexie

感谢小编,学到不少,现在我也遇到这个问题

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top