微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 求助编译错误

求助编译错误

时间:10-02 整理:3721RD 点击:
各位高手好:
现在正在做一个程序,可是就有一个错误看不懂,不知道该怎么改,请各位帮帮忙
错误提示为%synPrep-F-internalError,internal error:"width mismatch in relational operator"in eBuildBinaryOp at line 1347 of file readexpr.c.
我的程序是这样的,
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entityad is
port(clk,oe:instd_logic;
analogin:instd_logic_vector(7 downto 0);
dut std_logic_vector(7 downto 0));
end ad;
architecturebehave of ad is
signal count: INTEGERRANGE 0 TO 2160;
begin
process(clk,oe)
begin
if clk'event and clk='1' then
if oe='1'then
ifcount>=2129then
null;
elsif count<=7then
null;
elsif count<2129andcount>7then
if count=count-3 then--延时三个周期
d(0)<=analogin(0);
d(1)<=analogin(1);
d(2)<=analogin(2);
d(3)<=analogin(3);
d(4)<=analogin(4);
d(5)<=analogin(5);
d(6)<=analogin(6);
d(7)<=analogin(7);
count<=count-1;
end if;
end if;
end if;
end if;
end PROCESS;
end behave;
是做一个8位的AD

?

跑过你的代码,没什么问题啊.
你有test_bench否?有的话仿真看看
代码上看没有太大的问题
不过风格貌似不好...用when...select的case语句代替大量的if

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top