微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > ModelSim不能编译VHD文件?

ModelSim不能编译VHD文件?

时间:10-02 整理:3721RD 点击:
[广告]: “优秀帖子”范例 为什么ModelSim不能编译?!
关于ModelSim的一个问题!
最近小弟我开始学习使用ModelSim软件,在一个project里面添加了一个VHDL源程序,但是好象不能编译(点击compile--compile all没有反应),而且在"status"下面没有"?"标记,因为没有编译过的源程序下面应该有"?"标记的,通过了有勾标记的。但是现在什么也没有,我不知道是什么原因,希望大侠们能告诉我一下,我将不胜感激!

不可能,modelsim肯定能够比编译,你制定目录了吗,你要将目录指定到你文件所在的目录,然后建立一个work库!

新建工程和work库都有了,就是新建一个VHDL文件时,输入代码后不能编译,但是如果先复制一个编译好的VHDL文件在工程了,就可以显示status和编译仿真,请问这是为什么?
还有,以下是Transcript的出错信息:
# ** Error: (vish-19) Failed to access library 'work' at "work"
# No such file or directory. (errno = ENOENT)

找到问题了,原来是新建文件type不对,改为VHDL再map到work库就可以编译了!

解决了,恭喜

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top