微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > veriolg为什么不能导入图像文件?

veriolg为什么不能导入图像文件?

时间:10-02 整理:3721RD 点击:
为什么用verilog的readmemb函数只能导入文本格式文件,而位图不行?
试问一下大虾:如何才能把bmp格式图像导入存储器进行处理,除了转化为文本格式再导入就没有其它方法吗?

[请教]veriolg为什么不能导入图像文件?
verilog只能读取纯文本文件,所以你就转吧。我倒是有一个专门用来转换的程序,呵呵
over

[请教]veriolg为什么不能导入图像文件?
去掉bmp文件前54个字节的头,后面的数据顺序是 B G R每个像素24bit,转成文本的就可以了,不过bmp的顺序是从左下角开始的,所以出来的图象是反的.

[请教]veriolg为什么不能导入图像文件?
besicola :
发一个软件给我吧。 谢谢!
quan228228@gmail.com

[请教]veriolg为什么不能导入图像文件?
MATLAB也可以满足小编的要求

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top