微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 大家帮我看看

大家帮我看看

时间:10-02 整理:3721RD 点击:
以下程序编译能通过,但是仿真的时候有问题!
initial 里面的附值语句好像不起作用。仿真出现的x。任意改成一个数就可以。怎么回事啊?
//冒泡排列
module mopo(data_1,data_2,data_3,clk,result_1,result_2,result_3);
input[7:0] data_1,data_2,data_3;
input clk;
output[7:0] result_1,result_2,result_3;
reg[7:0] result_1,result_2,result_3;
reg[1:0] cal;
initial
begin
{result_1,result_2,result_3}={data_1,data_2,data_3};
cal<=0;
end
always @(posedge clk)
begin

if(cal==2)
begin
exch(result_1,result_2);
cal=0;
end
else
begin
case(cal)
0:exch(result_1,result_2);
1:exch(result_2,result_3);
endcase
cal<=cal+1;
end
end
task exch;
inout[7:0] x,y;
reg[7:0] tmp;
if(x>y)
begin
tmp=x;
x=y;
y=tmp;
end
endtask
endmodule

大家帮我看看
呵呵,可能我考虑的太复杂了,我改成读入也用一个clk,这样刚刚好4个状态。
1:读入abc 2:交换ab 3:交换bc 4:交换ab。
`timescale 1ns/1ns
`include "E:/Modeltech_6.0/mywork/mopo.v"
`define clock_cycle 50
module mopo_top;
reg[7:0] data1,data2,data3;
wire[7:0] result1,result2,result3;
reg clk;
always #`clock_cycle clk=~clk;
initial
begin
data1=54;
data2=36;
data3=21;
clk=0;
#800 $stop;
end
mopo mopo(.data_1(data1),.data_2(data2),.data_3(data3),.clk(clk),.result_1(result1),.result_2(result2),.result_3(result3));
endmodule

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top