微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 硬件电路设计 > 硬件电路设计讨论 > fpga能把方波变成正弦波不?

fpga能把方波变成正弦波不?

时间:12-12 整理:3721RD 点击:
输入个2Mhz的方波, fpga内部数字滤波,然后 输出接DA变成2Mhz的 正弦波,可行不?

借助PLL把2MHz倍频到几十倍,然后生成正弦波形数据(NCO),输出DA,滤波

这个具体怎么弄? 有没有推荐资料啥的

这个是不是针对 固定频率的,如果我的输入频率从1MHz 到 5MHz 变化,能行吗?

那换用外部的PLL芯片好了

搞个鉴相反馈环?做个可控的正弦波源,然后和方波做鉴相,反馈控制正弦波频率

dds实现岂不是更方便,随便什么频率,正弦、方波、锯齿轻轻松松

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top