微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > ncelab DPI-C export 問題

ncelab DPI-C export 問題

时间:03-15 整理:3721RD 点击:
以下是sv file and c file
///////helo.c//////////////////
#include <stdio.h>
#include "svdpi.h"
void reportc()
{
printf("Hello World from C! ");
printf("tezhi test! ");
}
///////////////////////////////
///////helo.sv//////////////////
program mAIn();
import "DPI-C" reportc=task reportc();
export "DPI-C" task DPI_waitNs;
initial
begin
reportc();
end
task DPI_waitNs (input int unsigned ns);
#(ns);
endtask
endprogram
///////////////////////////////
step1. 我在ncvlog下run了helo.sv,命令如下:
ncvlog -sv hello.sv
編譯此sv file沒有問題。
step2. 我再elaborate the design,命令如下:
ncelab main
執行此步驟卻發生了錯誤
ncelab: *W,DPIEXP: DPI export function in_sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in_sv_export.so not available.
這是export "DPI-C" task DPI_waitNs這行發生了問題,沒辦法export DPI-C,
svdpi.h檔案有在資料夾之中,是缺少了DPI相關檔案嗎?
要如何才能解決這個錯誤?

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top