微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > ncverilog gcc問題(incisive131 version)

ncverilog gcc問題(incisive131 version)

时间:03-15 整理:3721RD 点击:
各位高手有沒有知道以下錯誤要如何解決?
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 2: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: Syntax error: Unterminated quoted string
ncelab: *W,DPIEXP: DPI export function in_sv_export.so not avAIlable.
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 1: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: ELF: not found
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 2: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: Syntax error: Unterminated quoted string
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 1: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: ELF: not foundncelab: *W,DPIEXP: DPI export function in_sv_export.so not available.
/home/user/cadence/INCISIV131/tools/perl/bin/cdsperl: 1: /home/user/cadence/INCISIV131/tools/perl/bin/cdsPerl: Syntax error: ")" unexpected
irun: *E,CCERR: Error during cc compilation (status 2), exiting.


我看了安裝的incisive131的目錄下有gcc 4.4版本,但無法看出錯誤發生在哪裡?還是少安裝了什麼?


我把incisiv安裝目錄下的gcc 4.4 delete, 剩下gcc 4.1會變成以下錯誤(少了一個),但還是錯?
ncelab: *W,DPIEXP: DPI export function in_sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in_sv_export.so not available.
/home/user/cadence/INCISIV131/tools/perl/bin/cdsPerl: 1: /home/user/cadence/INCISIV131/tools/perl/bin/cdsPerl: Syntax error: ")" unexpected
irun: *E,CCERR: Error during cc compilation (status 2), exiting.

compile your project step by step , then you will find the problem.



thanks your answer,I try step by step, 1. ncvlog, 2. ncelab,3. ncsim


以下是sv file and c file
///////helo.c//////////////////
#include <stdio.h>
#include "svdpi.h"
void reportc()
{
printf("Hello World from C! ");
printf("tezhi test! ");
}
///////////////////////////////
///////helo.sv//////////////////
program main();
import "DPI-C" reportc=task reportc();
export "DPI-C" task DPI_waitNs;
initial
begin
reportc();
end
task DPI_waitNs (input int unsigned ns);
#(ns);
endtask
endprogram
///////////////////////////////
step1. 我在ncvlog下run了helo.sv,命令如下:
ncvlog -sv hello.sv
編譯此sv file沒有問題。
step2. 我再elaborate the design,命令如下:
ncelab main
執行此步驟卻發生了錯誤
ncelab: *W,DPIEXP: DPI export function in_sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in_sv_export.so not available.
這是export "DPI-C" task DPI_waitNs這行發生了問題,沒辦法export DPI-C,
svdpi.h檔案有在資料夾之中,是缺少了DPI相關檔案嗎?
要如何才能解決這個錯誤?

上一篇:安卓版 gds viewer
下一篇:最后一页

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top