微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 综合技术问答 > EDA使用问答 > vcs启动问题

vcs启动问题

时间:03-15 整理:3721RD 点击:
装了linux vcs 7.1.1版本的,在运行时出现如下信息,不知道是什么原因,请大侠指教,多谢!
[service@localhost]:-] vcs -RI fir_check.v fir_test.v fir1.v
*** Using c compiler gcc instead of cc ...
? Chronologic VCS (TM)
? Version 7.1.1 -- Fri Dec 15 19:31:04 2006
? Copyright (c) 1991-2003 by Synopsys Inc.
? ALL RIGHTS RESERVED
?
This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.
?
***** Warning: ACC/CLI capabilities have been enabled for the entire design.
? For faster performance enable module specific capability in pli.tab file
Parsing design file 'fir_check.v'
Parsing design file 'fir_test.v'
Parsing design file 'fir1.v'
Top Level Modules:
? fir_test
TimeScale is 1 ns / 1 ns
Starting vcs inline pass...
1 module and 0 UDP read.
recompiling module fir_test
if [ -x ../simv ]; then chmod -x ../simv; fi
gcc?-o ../simv? 5NrI_d.o 5NrIB_d.o J4Qq_1_d.o SIM_l.o?/EDA/synopsys/vcs7.1.1/gui/virsim/linux/vcdplus/vcs7_1_0_1/libvirsim.a?/EDA/synopsys/vcs7.1.1/linux/lib/libvcsnew.a? -ldl -lm? -lc -ldl
make: gcc: Command not found
make: *** [product_timestamp] Error 127
Make exited with status 2
cpu time: .710 seconds to compile + .150 seconds to link

没用过vcs,不过这应该是gcc没能正确找到吧,只需在你的path中加入gcc的路径就可以了。

i also got use the software for synopsys, very nice if i got one

Hello,
Can you tell me if you have license for vcs 7.11?
If yes, could you please email it to me, Thanks....
my email is ardenmann@yahoo.com

从网上google装上就可以了

真麻烦,好难搞呀

装个libsted 和gcc-c++ rpm包就好了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top