微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > PCB设计问答 > PCB设计学习讨论 > 串口收发指示灯电路

串口收发指示灯电路

时间:10-02 整理:3721RD 点击:

不知道这个电路搞这么复杂的用意,还请高手赐教!

1、为什么不分别用一个二极管,为什么要用两个,不浪费吗?
2、中间电容式做什么的?
3、直接接电阻后接到LED上不可以吗?

1、为什么不分别用一个二极管,为什么要用两个,不浪费吗?
你的这个问题有点儿问题,如果你确实想问二极管,那么很简单,一个指示收,一个指示发。如果你想问三极管,这个其实没路只用一个应该也是可以满足要求的,我也觉得没有必要用两个。
第二个,这个电容嘛,一般说来没有也能用,但是有这么一个电容对数据传输这样的信号来说会起到一定的延时作用,使其边沿变缓,这样可以减少对LED的冲击。再多了我也说不上来,反正电容在电路里是个好东西,一般情况下用了总没错,特殊情况就别跟我较真了。
第三个问题,直接接电阻不行,不能保证把LED驱动起来。

楼上正解

唉 这问题

是我问错了,你回答却很好,很感谢,也很佩服,呵呵。

还有,电容可以起到滤波作用,仿止通信时发生高频闪烁。用2个三极管就为在中间放大部分加电容还不影响正常通信。 一个电路设计人员是不会轻易多加个件的,可以说放的每一个件都是有原因的。

还想请教您对于6楼的观点,有什么见解吗?

后边两个三极管是不是可以去掉了,另外高频闪烁会损坏二极管是吗?对三极管会不会也有影响?似乎复杂了。

如果闪得很快,人眼就看不到了,但亮度降低。如果闪的不太快,人眼会不太舒服,比如1秒10次。高频闪烁不会损坏二极管,但瞬时电流过大会减少发光管的寿命,加电阻把电流控制在10毫安以下是不会有什么问题的,爱怎么闪就怎么闪。 数码管扫描输出就是不停的闪烁的,只是太快,人眼就看不清了,好象一直在亮着。

但是我觉得串口的速度应该没多快,或者说我们观察串口指示灯只是看它是否有收发,并不在意它闪几下。我也比较认同这个电路应该没那么复杂的说法。

这个电路是用于通信速率较高,而通信频度又很低的场合。这时若不加处理,仅低电平点灯的话(串口空闲时为高电平),可能一闪而过,人眼几乎没反应,感觉不到在通信。

以Q5、Q3那一路为例,该电路的关键在1uF电容和后面的那个电阻。通信线上有数据来时Q5导通使Q3也导通点灯,并一下释放了1uF里的电荷,而这时即便通信线已很快恢复成高电平Q5截止,但电容两端还需由后面的电阻慢慢充电才能电压上升,于是Q3的截止就被迟缓了。简单地说就是:Q3导通得利索,截止得慢吞吞,达到了让LED多点一会儿灯的目的。

做FPGA的人有时会玩这一招,当然有了FPGA就不必把电路搭得这么罗嗦,加几句时序逻辑就能实现了。

11楼真是高人,我服了。 我QQ:909987836 愿意请联系我。

Q5的集电极没有高电平,这样给基极一个低电平怎么感觉导通不靠谱,这个小电路会有这么深的东西在里面,真是领教了,不管它是不是这样,都和仙猫学了很多东西。

果酱了,其实只是碰巧而已,我自己就是上面说的编FPGA的人,为清晰显示琢磨了点小技巧,现在看见电路了,自然想到了异曲同工。

扫瑞,我没有QQ号,地主老财也不会让农民耕种时聊天的啊。

Q5是PNP管,如果按NPN管的思路想,地线不正是它的“高”电平吗?

好不容易见个有真本事的人, 唉!

认真看过了这里的细腻水平还是蛮高的,不过这么个问题很多的UART的驱动芯片都有引脚直接支持者功能,更可靠吧。

我该用什么语言来表达我的拜服呢 :carnation: :rose: 实在是想不到更好的语言了。

我一看你头象都不愿看贴子了

请教一下, 这个模拟是采用什么软件模拟的?
是不是Altium Designer也可以做类似的模拟?
谢谢

Proteus。

Altium Designer能不能做我就不知道了。

谢谢, 我试了一下Altium Designer,
的确可以仿真, 功能方面可能还需要在研究一下

这个电路之前也看过,主要考虑了电容对指示灯的延时作用,想法很细腻!

该电路是一个简化的达林顿电路,使用两个三极管的目的,就是为了功率放大。假设每个三极管的“贝塔”值(电流放大系数)=60,“达林顿”后就是60*60=3600。通常,达林顿中的两个三极管,功率参数也不一样。前一级用小功率(贝塔值较大),后一级用中功率或大功率(贝塔值较小)。也就是说,只要输入微小的电流,就能点亮LED灯(早期的LED需要10ma以上的电流才能点亮)。电路中的电容,也叫“脉冲展宽电容”,意思是,如果输入的脉冲很窄,LED灯基本上不亮,人眼看不见。有了这个电容,很窄的脉冲也能让LED灯闪一下。

分析的很到位,正准备加一个这个电路试试

好问题,回答得也好。又长见识了

非常感谢~

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top