微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 求教大神们这个程序错在哪里了

求教大神们这个程序错在哪里了

时间:10-02 整理:3721RD 点击:
提示错误Error (10170): Verilog HDL syntax error at led.v(7) near text "b";  expecting ":", or ","
module led (key_in,pio_led);
input[2:0]key_in;
output reg[2:0]pio_led;
always @(key_in)
begin
case(key_in)
3b'000:pio_led<=3b'000;
3b'001:pio_led<=3b'001;
3b'010:pio_led<=3b'010;
3b'100:pio_led<=3b'100;
3b'011:pio_led<=3b'011;
3b'110:pio_led<=3b'110;
3b'101:pio_led<=3b'101;
3b'111:pio_led<=3b'111;
endcase
end
endmodule

你确定不是3'b000,3'b001,3'b010,...?

初学,有点不明白错在哪里了

3'b111与3b'111傻傻分不清楚!

早上已经发现了,这么蠢的问题,好害羞

我当时二了、。

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top