微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > Quartus 编译出现错误问题

Quartus 编译出现错误问题

时间:10-02 整理:3721RD 点击:
求助,初学者刚安装Quartus软件编译一个半加器,编译的时候出现问题:
Error: Current license file does not support the EP4CGX15BF14C6 device
Error: Quartus II 64-Bit Fitter was unsuccessful. 1 error, 1 warning
        Error: Peak virtual memory: 344 megabytes
        Error: Processing ended: Thu Mar 23 21:16:24 2017
        Error: Elapsed time: 00:00:00
        Error: Total CPU time (on all processors): 00:00:00
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 1 warning
网上搜了一下说是破解的问题,license 界面是这样的


破解器用的x86内部版

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top