微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 这段代码是什么意思求大神

这段代码是什么意思求大神

时间:10-02 整理:3721RD 点击:

component iamge_ana is

       Port (clk_in : in  STD_LOGIC;

                     lock : in std_logic;

                     LOS : in std_logic;

                     COMP : in std_logic;

                     HORI : in std_logic;

                     ODD_EVEN : in std_logic;

                     BACKBORCH : in std_logic;

                     VERT : in std_logic;

                     SYNCAMP : in std_logic;

                     AD_CLK : out std_logic;

                     AD_D : instd_logic_vector(13 downto 0);

                     clk_ana : out std_logic;

                     ena_ana : out std_logic;

                     start_ana : out std_logic;

                     addra_ana : outstd_logic_vector(16 downto 0);

                     dina_ana : outstd_logic_vector(13 downto 0);

                     iamge_ana_state : outstd_logic_vector(7 downto 0);                     

                     test : out  STD_LOGIC_VECTOR (4 downto 0));

       end component;

      

       entity iamge_ana is

    Port (   clk_in: in  STD_LOGIC;

                            lock : in std_logic;

                            LOS : in std_logic;

                            COMP : in std_logic;

                            HORI : in std_logic;

                            ODD_EVEN : instd_logic;

                            BACKBORCH : instd_logic;

                            VERT : in std_logic;

                            SYNCAMP : instd_logic;

                            AD_CLK : outstd_logic;

                            AD_D : instd_logic_vector(13 downto 0);

                           

                            clk_ana : outstd_logic;

                            ena_ana : outstd_logic;

                            start_ana : outstd_logic;

                            addra_ana : outstd_logic_vector(16 downto 0);

                            dina_ana : outstd_logic_vector(13 downto 0);   

                            iamge_ana_state :out std_logic_vector(7 downto 0);

                           

                            test : out  STD_LOGIC_VECTOR (4 downto 0));

end iamge_ana;

architectureBehavioral of iamge_ana is

       signal clk_7M5:std_logic;

       signal cnt_7M5:integer range 0 to 7;

       signal addra_ana_buf:std_logic_vector(16downto 0);

       signal cnt_h:integer range 0 to 511;

       signalena_ana_buf:std_logic;  

       signal cnt_p:std_logic_vector(8 downto 0);

      

       signaldina_ana_buf:std_logic_vector(13 downto 0);

begin

       test(0) '0');

              elsif falling_edge(clk_7M5) then

                     cnt_p 21 andcnt_h 65 andcnt_p '0');

                            else

                            dina_ana_buf '0');

                     end if;   

              end if;

       end process;

      

       process(VERT,clk_7M5)

       begin

              if VERT='0' then

                     addra_ana_buf '0');

              elsif rising_edge(clk_7M5) then

                     if ena_ana_buf='1' andODD_EVEN='1' then

                            ifaddra_ana_buf=110591 then

                                   addra_ana_buf '0');

                            else

                                   addra_ana_buf<=addra_ana_buf+1;

                            end if;                  

                     end if;   

              end if;

       end process;

end Behavioral;

O(∩_∩)O谢谢了


这好像是EDA的吧?

VHDL语言,没学过,现在大家都用verilog了

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top