微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > Xilinx ISE 12.2 调用 Modelsim 进行行为仿真详解

Xilinx ISE 12.2 调用 Modelsim 进行行为仿真详解

时间:10-02 整理:3721RD 点击:
Xilinx ISE 12.2  调用 Modelsim 进行行为仿真详解
最近闲来无事,整点东西,以飨各位。
第一步:新建工程:
  File->New Project 创建工程 cnt_for_sim,操作如下图。





第二步:新建文件:   Project->New Source 创建文件 cnt_for_all.v,操作如下图。







第三步:完善 cnt_for_all.v,如下:   module cnt_for_all(      input clk,      input rst_n,     output [7:0] cnt_o      ); reg[7:0] cnt; assign cnt_o = cnt; always@(posedge clk or negedge rst_n) begin  if(!rst_n) cnt Preferences,操作如下图:




好帖子,很适合我这个刚刚接触的人

好的

好帖子,系诶些

第七步出现这样的错误是什么原因呢?
ERROR:Compxlib - COMPXLIB[sim]: Unable to automatically find executables for simulator 'mti_pe' from the following paths:

谢谢分享

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top