微波EDA网,见证研发工程师的成长!
首页 > 研发问答 > 嵌入式设计讨论 > FPGA,CPLD和ASIC > 求助,请帮忙看一下为什么总是运行不了,谢谢

求助,请帮忙看一下为什么总是运行不了,谢谢

时间:10-02 整理:3721RD 点击:
请各位帮忙看一下这两个并串转换的小程序,为什么总是运行不了,谢谢
第一个:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all; --并串转换
ENTITY bingchuan IS
PORT (RESET :IN STD_LOGIC;
CLK : IN STD_LOGIC;
DIN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ;
DOUT :OUT STD_LOGIC
);
END bingchuan ;
architecture bhv of bingchuan is
SIGNAL COUNT : STD_LOGIC_VECTOR(2 DOWNTO 0);
SIGNAL DAOUT : STD_LOGIC ;
BEGIN
PROCESS (CLK,COUNT,RESET)
BEGIN
IF RESET='1' THEN COUNT
DAOUT
DAOUT
DAOUT
DAOUT
DAOUT
DAOUT
DAOUT
DAOUT
count
count
count
count
count
count
count
count i DAOUT DAOUT DAOUT DAOUT DAOUT DAOUT DAOUT DAOUT count count count count count count count count i count count count count count count count count count<='0';
                                end case;
                        end if;
                end process;

Copyright © 2017-2020 微波EDA网 版权所有

网站地图

Top